Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click here.


software_version_and_target_device
betaFALSE build_version3064766
date_generatedMon Apr 15 18:24:29 2024 os_platformLIN64
product_versionVivado v2020.2 (64-bit) project_id68c1dd34033a4b1d909ec7bbcfe74fd0
project_iteration17 random_id1f339202f13752b1ae5b5ba85201f6f5
registration_id177819450_177819452_210771244_406 route_designTRUE
target_devicexczu19eg target_familyzynquplus
target_packageffvb1517 target_speed-2
tool_flowVivado

user_environment
cpu_name12th Gen Intel(R) Core(TM) i9-12900KF cpu_speed4913.867 MHz
os_nameCentOS os_releaseCentOS Linux release 7.9.2009 (Core)
system_ram135.000 GB total_processors1

vivado_usage
gui_handlers
abstractcombinedpanel_remove_selected_elements=1 addrepositoryinfodialog_ok=3 addrepositoryinfodialog_repository_tree=2 applyrsbmultiautomationdialog_checkbox_tree=1
basedialog_apply=5 basedialog_cancel=34 basedialog_no=2 basedialog_ok=71
basedialog_yes=9 basereporttab_rerun=5 cmdmsgdialog_ok=6 coretreetablepanel_core_tree_table=14
customizecoredialog_apply_configuration=4 customizecoredialog_presets=14 exportplatformwizard_fixed_post_impl=1 expruntreepanel_exp_run_tree_table=8
filesetpanel_file_set_panel_tree=76 flownavigatortreepanel_flow_navigator_tree=42 hfolderchooserhelpers_up_one_level=5 ipstatussectionpanel_upgrade_selected=10
ipstatustablepanel_ip_status_table=2 mainmenumgr_checkpoint=2 mainmenumgr_edit=2 mainmenumgr_export=4
mainmenumgr_file=12 mainmenumgr_ip=4 mainmenumgr_open_recent_project=4 mainmenumgr_project=8
mainmenumgr_reports=26 mainmenumgr_text_editor=4 mainmenumgr_tools=10 mainmenumgr_window=24
maintoolbarmgr_run=1 messagewithoptiondialog_dont_show_this_dialog_again=1 pacommandnames_auto_update_hier=8 pacommandnames_bitstream_settings=1
pacommandnames_create_top_hdl=3 pacommandnames_customize_rsb_bloc=13 pacommandnames_export_hardware=3 pacommandnames_generate_composite_file=18
pacommandnames_impl_settings=2 pacommandnames_project_summary=11 pacommandnames_report_ip_status=13 pacommandnames_reset_composite_file=20
pacommandnames_save_project_as=2 pacommandnames_synth_settings=4 pacommandnames_zoom_fit=11 paviews_project_summary=14
programoptionspanelimpl_strategy=4 progressdialog_background=12 projectnamechooser_choose_project_location=2 projectnamechooser_create_project_subdirectory=1
projectnamechooser_project_name=1 psspanelclockingpage_tabbed_pane=4 psspanelddrpage_other_options=1 psspanelmainpage_switch_to_advanced_mode=4
psstoolbar_switch_to_block_design_page=2 psstreetablepanelbuilder_adv_clk_tree=8 psstreetablepanelbuilder_clk_tree=8 psstreetablepanelbuilder_general_tree=129
psstreetablepanelbuilder_mio_tree=158 rdicommands_custom_commands=3 rdicommands_delete=1 rdicommands_settings=4
rsbapplyautomationbar_run_connection_automation=4 selectmenu_highlight=14 settingsdialog_project_tree=12 settingsprojectiprepositorypage_add_repository=3
settingsprojectiprepositorypage_refresh_all=1 settingsprojectiprepositorypage_repository_chooser=1 simpleoutputproductdialog_close_dialog_unsaved_changes_will=2 simpleoutputproductdialog_generate_output_products_immediately=28
simpleoutputproductdialog_reset_output_products=22 simpleoutputproductdialog_synthesize_design_globally=1 srcmenu_ip_hierarchy=9 stalemoreaction_force_up_to_date=1
stalemoreaction_out_of_date_details=1 statemonitor_reset_run=2 syntheticastatemonitor_cancel=5 systembuildermenu_ip_documentation=6
systembuildermenu_run_block_automation=1 systembuilderview_add_ip=10 systembuilderview_optimize_routing=13 systembuilderview_orientation=4
systembuilderview_pinning=6 tclconsoleview_tcl_console_code_editor=1 touchpointsurveydialog_no=2 touchpointsurveydialog_remind_me_later=2
java_command_handlers
createblockdesign=1 createtophdl=3 customizersbblock=37 editcopy=1
editdelete=10 editpaste=1 exitapp=18 managecompositetargets=38
newexporthardware=3 openblockdesign=9 projectsummary=12 reportipstatus=13
runbitgen=23 runsynthesis=1 saveprojectas=2 showview=1
toolssettings=11 upgradeip=9 zoomfit=11
other_data
guimode=19
project_data
constraintsetcount=0 core_container=false currentimplrun=impl_1 currentsynthesisrun=synth_1
default_library=xil_defaultlib designmode=RTL export_simulation_activehdl=25 export_simulation_ies=25
export_simulation_modelsim=25 export_simulation_questa=25 export_simulation_riviera=25 export_simulation_vcs=25
export_simulation_xsim=25 implstrategy=Performance_ExplorePostRoutePhysOpt launch_simulation_activehdl=0 launch_simulation_ies=0
launch_simulation_modelsim=0 launch_simulation_questa=0 launch_simulation_riviera=0 launch_simulation_vcs=0
launch_simulation_xsim=0 simulator_language=Mixed srcsetcount=2 synthesisstrategy=Flow_PerfOptimized_high
target_language=Verilog target_simulator=XSim totalimplruns=1 totalsynthesisruns=1

unisim_transformation
post_unisim_transformation
bufg_ps=1 bufgce=2 carry8=4170 dsp_a_b_data=64
dsp_alu=64 dsp_c_data=64 dsp_m_data=64 dsp_multiplier=64
dsp_output=64 dsp_preadd=64 dsp_preadd_data=64 fdce=125957
fdpe=4336 fdre=11833 fdse=260 gnd=1468
lut1=886 lut2=30353 lut3=46644 lut4=115888
lut5=74499 lut6=168034 mmcme4_adv=1 muxf7=2262
muxf8=680 ps8=1 ramb36e2=544 ramd32=2412
rams32=344 srl16e=250 srlc32e=548 vcc=1427
pre_unisim_transformation
bufg_ps=1 bufgce=2 carry8=4170 dsp48e2=64
fdce=125957 fdpe=4336 fdre=11833 fdse=260
gnd=1468 lut1=886 lut2=30353 lut3=46644
lut4=115888 lut5=74499 lut6=168034 mmcme4_adv=1
muxf7=2262 muxf8=680 ps8=1 ram32m16=172
ram32x1d=2 ramb36e2=544 srl16e=250 srlc32e=548
vcc=1427

phys_opt_design_post_place
command_line_options
-aggressive_hold_fix=default::[not_specified] -bram_register_opt=default::[not_specified] -clock_opt=default::[not_specified] -critical_cell_opt=default::[not_specified]
-critical_pin_opt=default::[not_specified] -directive=ExploreWithAggressiveHoldFix -dsp_register_opt=default::[not_specified] -effort_level=default::[not_specified]
-fanout_opt=default::[not_specified] -hold_fix=default::[not_specified] -insert_negative_edge_ffs=default::[not_specified] -multi_clock_opt=default::[not_specified]
-placement_opt=default::[not_specified] -restruct_opt=default::[not_specified] -retime=default::[not_specified] -rewire=default::[not_specified]
-shift_register_opt=default::[not_specified] -uram_register_opt=default::[not_specified] -verbose=default::[not_specified] -vhfn=default::[not_specified]
usage
postths=-41.709395 posttns=-476.713183 postwhs=-0.156965 postwns=-0.315396
preths=0.000000 pretns=-1561.388672 prewhs=0.000000 prewns=-0.632395

phys_opt_design_post_route
command_line_options
-aggressive_hold_fix=default::[not_specified] -bram_register_opt=default::[not_specified] -clock_opt=default::[not_specified] -critical_cell_opt=default::[not_specified]
-critical_pin_opt=default::[not_specified] -directive=ExploreWithAggressiveHoldFix -dsp_register_opt=default::[not_specified] -effort_level=default::[not_specified]
-fanout_opt=default::[not_specified] -hold_fix=default::[not_specified] -insert_negative_edge_ffs=default::[not_specified] -multi_clock_opt=default::[not_specified]
-placement_opt=default::[not_specified] -restruct_opt=default::[not_specified] -retime=default::[not_specified] -rewire=default::[not_specified]
-shift_register_opt=default::[not_specified] -uram_register_opt=default::[not_specified] -verbose=default::[not_specified] -vhfn=default::[not_specified]
usage
postths=0.000000 posttns=-793.041295 postwhs=0.003145 postwns=-0.277431
preths=0.000000 pretns=-824.955748 prewhs=0.005500 prewns=-0.293430

ip_statistics
IP_Integrator/1
bdsource=SBD core_container=NA iptotal=1 maxhierdepth=1
numblks=42 numhdlrefblks=0 numhierblks=8 numhlsblks=0
numnonxlnxblks=0 numpkgbdblks=0 numreposblks=34 numsysgenblks=0
synth_mode=Global x_iplanguage=VERILOG x_iplibrary=BlockDiagram x_ipname=bd_afc3
x_ipvendor=xilinx.com x_ipversion=1.00.a
IP_Integrator/2
bdsource=USER core_container=NA da_axi4_cnt=2 da_zynq_ultra_ps_e_cnt=1
iptotal=1 maxhierdepth=0 numblks=5 numhdlrefblks=0
numhierblks=0 numhlsblks=0 numnonxlnxblks=1 numpkgbdblks=0
numreposblks=5 numsysgenblks=0 synth_mode=Global x_iplanguage=VERILOG
x_iplibrary=BlockDiagram x_ipname=design_1 x_ipvendor=xilinx.com x_ipversion=1.00.a
bd_afc3/1
core_container=NA iptotal=1 x_ipcorerevision=14 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=smartconnect x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
blk_mem_gen_v8_4_4/1
c_addra_width=12 c_addrb_width=12 c_algorithm=1 c_axi_id_width=4
c_axi_slave_type=0 c_axi_type=1 c_byte_size=8 c_common_clk=1
c_count_18k_bram=0 c_count_36k_bram=32 c_ctrl_ecc_algo=NONE c_default_data=0
c_disable_warn_bhv_coll=0 c_disable_warn_bhv_range=0 c_elaboration_dir=./ c_en_deepsleep_pin=0
c_en_ecc_pipe=0 c_en_rdaddra_chg=0 c_en_rdaddrb_chg=0 c_en_safety_ckt=0
c_en_shutdown_pin=0 c_en_sleep_pin=0 c_enable_32bit_address=0 c_est_power_summary=Estimated Power for IP _ 116.13544 mW
c_family=zynquplus c_has_axi_id=0 c_has_ena=1 c_has_enb=1
c_has_injecterr=0 c_has_mem_output_regs_a=0 c_has_mem_output_regs_b=0 c_has_mux_output_regs_a=0
c_has_mux_output_regs_b=0 c_has_regcea=0 c_has_regceb=0 c_has_rsta=0
c_has_rstb=0 c_has_softecc_input_regs_a=0 c_has_softecc_output_regs_b=0 c_init_file=fpga_bram128.mem
c_init_file_name=no_coe_file_loaded c_inita_val=0 c_initb_val=0 c_interface_type=0
c_load_init_file=0 c_mem_type=2 c_mux_pipeline_stages=0 c_prim_type=1
c_read_depth_a=4096 c_read_depth_b=4096 c_read_latency_a=1 c_read_latency_b=1
c_read_width_a=256 c_read_width_b=256 c_rst_priority_a=CE c_rst_priority_b=CE
c_rstram_a=0 c_rstram_b=0 c_sim_collision_check=ALL c_use_bram_block=0
c_use_byte_wea=1 c_use_byte_web=1 c_use_default_data=0 c_use_ecc=0
c_use_softecc=0 c_use_uram=0 c_wea_width=32 c_web_width=32
c_write_depth_a=4096 c_write_depth_b=4096 c_write_mode_a=WRITE_FIRST c_write_mode_b=WRITE_FIRST
c_write_width_a=256 c_write_width_b=256 c_xdevicefamily=zynquplus core_container=false
iptotal=17 x_ipcorerevision=4 x_iplanguage=VERILOG x_iplibrary=ip
x_ipname=blk_mem_gen x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED x_ipvendor=xilinx.com
x_ipversion=8.4
clk_wiz_v6_0_6_0_0/1
clkin1_period=20.000 clkin2_period=10.0 clock_mgr_type=NA component_name=design_1_clk_wiz_0_0
core_container=NA enable_axi=0 feedback_source=FDBK_AUTO feedback_type=SINGLE
iptotal=1 manual_override=false num_out_clk=2 primitive=MMCM
use_dyn_phase_shift=false use_dyn_reconfig=false use_inclk_stopped=false use_inclk_switchover=false
use_locked=false use_max_i_jitter=false use_min_o_jitter=false use_phase_alignment=false
use_power_down=false use_reset=false
proc_sys_reset/1
c_aux_reset_high=0 c_aux_rst_width=1 c_ext_reset_high=0 c_ext_rst_width=4
c_family=zynquplus c_num_bus_rst=1 c_num_interconnect_aresetn=1 c_num_perp_aresetn=1
c_num_perp_rst=1 core_container=NA iptotal=1 x_ipcorerevision=13
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=proc_sys_reset x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=5.0
proc_sys_reset/2
c_aux_reset_high=0 c_aux_rst_width=1 c_ext_reset_high=0 c_ext_rst_width=4
c_family=zynquplus c_num_bus_rst=1 c_num_interconnect_aresetn=1 c_num_perp_aresetn=1
c_num_perp_rst=1 core_container=NA iptotal=1 x_ipcorerevision=13
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=proc_sys_reset x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=5.0
proc_sys_reset/3
c_aux_reset_high=0 c_aux_rst_width=1 c_ext_reset_high=0 c_ext_rst_width=4
c_family=zynquplus c_num_bus_rst=1 c_num_interconnect_aresetn=1 c_num_perp_aresetn=1
c_num_perp_rst=1 core_container=NA iptotal=1 x_ipcorerevision=13
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=proc_sys_reset x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=5.0
proc_sys_reset/4
c_aux_reset_high=0 c_aux_rst_width=4 c_ext_reset_high=0 c_ext_rst_width=4
c_family=zynquplus c_num_bus_rst=1 c_num_interconnect_aresetn=1 c_num_perp_aresetn=1
c_num_perp_rst=1 core_container=NA iptotal=1 x_ipcorerevision=13
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=proc_sys_reset x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=5.0
sc_axi2sc_v1_0_7_top/1
c_arpayld_width=163 c_awpayld_width=163 c_axi_addr_width=40 c_axi_id_width=2
c_axi_rdata_width=128 c_axi_wdata_width=128 c_bpayld_width=7 c_msc_route_width=1
c_rpayld_width=277 c_sc_addr_width=40 c_sc_aruser_width=16 c_sc_awuser_width=16
c_sc_buser_width=0 c_sc_id_width=2 c_sc_rdata_width=256 c_sc_ruser_bits_per_byte=0
c_sc_wdata_width=256 c_sc_wuser_bits_per_byte=0 c_ssc_route_width=2 c_wpayld_width=304
core_container=NA iptotal=1 x_ipcorerevision=7 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_axi2sc x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_axi2sc_v1_0_7_top/2
c_arpayld_width=163 c_awpayld_width=163 c_axi_addr_width=40 c_axi_id_width=2
c_axi_rdata_width=128 c_axi_wdata_width=128 c_bpayld_width=7 c_msc_route_width=1
c_rpayld_width=277 c_sc_addr_width=40 c_sc_aruser_width=16 c_sc_awuser_width=16
c_sc_buser_width=0 c_sc_id_width=2 c_sc_rdata_width=256 c_sc_ruser_bits_per_byte=0
c_sc_wdata_width=256 c_sc_wuser_bits_per_byte=0 c_ssc_route_width=2 c_wpayld_width=304
core_container=NA iptotal=1 x_ipcorerevision=7 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_axi2sc x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_exit_v1_0_11_top/1
c_addr_width=40 c_enable_pipelining=0x1 c_family=zynquplus c_has_lock=0
c_is_cascaded=0 c_m_aruser_width=16 c_m_awuser_width=16 c_m_buser_width=0
c_m_id_width=0 c_m_limit_read_length=128 c_m_limit_write_length=128 c_m_protocol=0
c_m_ruser_bits_per_byte=0 c_m_ruser_width=0 c_m_wuser_bits_per_byte=0 c_m_wuser_width=0
c_max_ruser_bits_per_byte=0 c_max_wuser_bits_per_byte=0 c_mep_identifier_width=2 c_num_msc=1
c_num_read_outstanding=8 c_num_write_outstanding=8 c_rdata_width=256 c_read_acceptance=32
c_s_id_width=2 c_single_issuing=0 c_ssc_route_array=0b00100100 c_ssc_route_width=2
c_wdata_width=256 c_write_acceptance=32 core_container=NA iptotal=1
x_ipcorerevision=11 x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_exit
x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_mmu_v1_0_10_top/1
c_addr_width=40 c_enable_pipelining=0x1 c_family=zynquplus c_id_width=16
c_is_cascaded=0 c_msc_route_array=0b1 c_msc_route_width=1 c_num_msc=1
c_num_read_outstanding=8 c_num_seg=1 c_num_write_outstanding=8 c_rdata_width=128
c_read_acceptance=32 c_s_aruser_width=16 c_s_awuser_width=16 c_s_buser_width=0
c_s_protocol=0 c_s_ruser_width=0 c_s_wuser_width=0 c_seg_base_addr_array=0x0000000400000000
c_seg_secure_read_array=0b0 c_seg_secure_write_array=0b0 c_seg_sep_route_array=0x0000000000000000 c_seg_size_array=0x00000020
c_seg_supports_read_array=0x1 c_seg_supports_write_array=0x1 c_single_issuing=0 c_supports_narrow=1
c_supports_read_decerr=1 c_supports_wrap=1 c_supports_write_decerr=1 c_wdata_width=128
c_write_acceptance=32 core_container=NA iptotal=1 x_ipcorerevision=10
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_mmu x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_mmu_v1_0_10_top/2
c_addr_width=40 c_enable_pipelining=0x1 c_family=zynquplus c_id_width=16
c_is_cascaded=0 c_msc_route_array=0b1 c_msc_route_width=1 c_num_msc=1
c_num_read_outstanding=8 c_num_seg=1 c_num_write_outstanding=8 c_rdata_width=128
c_read_acceptance=32 c_s_aruser_width=16 c_s_awuser_width=16 c_s_buser_width=0
c_s_protocol=0 c_s_ruser_width=0 c_s_wuser_width=0 c_seg_base_addr_array=0x0000000400000000
c_seg_secure_read_array=0b0 c_seg_secure_write_array=0b0 c_seg_sep_route_array=0x0000000000000000 c_seg_size_array=0x00000020
c_seg_supports_read_array=0x1 c_seg_supports_write_array=0x1 c_single_issuing=0 c_supports_narrow=1
c_supports_read_decerr=1 c_supports_wrap=1 c_supports_write_decerr=1 c_wdata_width=128
c_write_acceptance=32 core_container=NA iptotal=1 x_ipcorerevision=10
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_mmu x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/10
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=4 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000010 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=7 c_priority_arb_array=0b0 c_s_latency=1 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=0 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/11
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=4 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000010 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=7 c_priority_arb_array=0b0 c_s_latency=1 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=0 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/12
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=0 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000010 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=277 c_priority_arb_array=0b0 c_s_latency=1 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=512 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/13
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=0 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000010 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=277 c_priority_arb_array=0b0 c_s_latency=1 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=512 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/14
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=1 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=304 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=512 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/15
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=1 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=304 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=512 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/1
c_aclk_relationship=0 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=2 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=2
c_payld_width=163 c_priority_arb_array=0x0000 c_s_latency=1 c_s_num_bytes_array=0x0000002000000020
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/2
c_aclk_relationship=0 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=3 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=2
c_payld_width=163 c_priority_arb_array=0x0000 c_s_latency=1 c_s_num_bytes_array=0x0000002000000020
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/3
c_aclk_relationship=0 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=4 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x0000002000000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=2 c_num_outstanding=8 c_num_si=1
c_payld_width=7 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=0 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/4
c_aclk_relationship=0 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=0 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x0000002000000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=2 c_num_outstanding=8 c_num_si=1
c_payld_width=277 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000020
c_s_pipeline=0 c_sc_route_width=2 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=0 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/5
c_aclk_relationship=0 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=1 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=0
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=2
c_payld_width=304 c_priority_arb_array=0x0000 c_s_latency=1 c_s_num_bytes_array=0x0000002000000020
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=0 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/6
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=2 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=163 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/7
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=2 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=163 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/8
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=3 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=163 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_node_v1_0_12_top/9
c_aclk_relationship=1 c_aclken_conversion=0 c_addr_width=40 c_arbiter_mode=1
c_channel=3 c_disable_ip=0 c_enable_pipelining=0x01 c_family=zynquplus
c_fifo_ip=0 c_fifo_output_reg=1 c_fifo_size=5 c_fifo_type=0
c_id_width=2 c_m_num_bytes_array=0x00000020 c_m_pipeline=0 c_m_send_pipeline=1
c_max_payld_bytes=32 c_num_mi=1 c_num_outstanding=8 c_num_si=1
c_payld_width=163 c_priority_arb_array=0b0 c_s_latency=0 c_s_num_bytes_array=0x00000010
c_s_pipeline=0 c_sc_route_width=1 c_synchronization_stages=3 c_user_bits_per_byte=0
c_user_width=16 core_container=NA iptotal=1 x_ipcorerevision=12
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_node x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_sc2axi_v1_0_7_top/1
c_arpayld_width=163 c_awpayld_width=163 c_axi_addr_width=40 c_axi_id_width=2
c_axi_rdata_width=256 c_axi_wdata_width=256 c_bpayld_width=7 c_msc_route_width=1
c_rpayld_width=277 c_sc_addr_width=40 c_sc_aruser_width=16 c_sc_awuser_width=16
c_sc_buser_width=0 c_sc_id_width=2 c_sc_rdata_width=256 c_sc_ruser_bits_per_byte=0
c_sc_wdata_width=256 c_sc_wuser_bits_per_byte=0 c_ssc_route_width=2 c_wpayld_width=304
core_container=NA iptotal=1 x_ipcorerevision=7 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_sc2axi x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_si_converter_v1_0_10_top/1
c_addr_width=40 c_axilite_conv=0 c_enable_pipelining=0x1 c_has_burst=1
c_id_width=2 c_is_cascaded=0 c_limit_read_length=0 c_limit_write_length=0
c_max_ruser_bits_per_byte=0 c_max_wuser_bits_per_byte=0 c_mep_identifier_width=2 c_msc_rdata_width_array=0x00000100
c_msc_wdata_width_array=0x00000100 c_num_msc=1 c_num_read_outstanding=8 c_num_read_threads=1
c_num_seg=1 c_num_write_outstanding=8 c_num_write_threads=1 c_rdata_width=128
c_read_acceptance=32 c_read_watermark=0 c_s_ruser_bits_per_byte=0 c_s_wuser_bits_per_byte=0
c_sep_protocol_array=0x00000000 c_sep_rdata_width_array=0x00000100 c_sep_wdata_width_array=0x00000100 c_single_issuing=0
c_supports_narrow=1 c_wdata_width=128 c_write_acceptance=32 c_write_watermark=0
core_container=NA iptotal=1 x_ipcorerevision=10 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_si_converter x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_si_converter_v1_0_10_top/2
c_addr_width=40 c_axilite_conv=0 c_enable_pipelining=0x1 c_has_burst=1
c_id_width=2 c_is_cascaded=0 c_limit_read_length=0 c_limit_write_length=0
c_max_ruser_bits_per_byte=0 c_max_wuser_bits_per_byte=0 c_mep_identifier_width=2 c_msc_rdata_width_array=0x00000100
c_msc_wdata_width_array=0x00000100 c_num_msc=1 c_num_read_outstanding=8 c_num_read_threads=1
c_num_seg=1 c_num_write_outstanding=8 c_num_write_threads=1 c_rdata_width=128
c_read_acceptance=32 c_read_watermark=0 c_s_ruser_bits_per_byte=0 c_s_wuser_bits_per_byte=0
c_sep_protocol_array=0x00000000 c_sep_rdata_width_array=0x00000100 c_sep_wdata_width_array=0x00000100 c_single_issuing=0
c_supports_narrow=1 c_wdata_width=128 c_write_acceptance=32 c_write_watermark=0
core_container=NA iptotal=1 x_ipcorerevision=10 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_si_converter x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_switchboard_v1_0_6_top/1
c_connectivity=11 c_m_pipelines=1 c_num_mi=1 c_num_si=2
c_payld_width=163 c_s_latency=0 c_s_pipelines=0 c_testing_mode=0
core_container=NA iptotal=1 k_max_info_width=1 x_ipcorerevision=6
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_switchboard x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_switchboard_v1_0_6_top/2
c_connectivity=11 c_m_pipelines=1 c_num_mi=1 c_num_si=2
c_payld_width=163 c_s_latency=0 c_s_pipelines=0 c_testing_mode=0
core_container=NA iptotal=1 k_max_info_width=1 x_ipcorerevision=6
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_switchboard x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_switchboard_v1_0_6_top/3
c_connectivity=0b11 c_m_pipelines=1 c_num_mi=2 c_num_si=1
c_payld_width=7 c_s_latency=0 c_s_pipelines=0 c_testing_mode=0
core_container=NA iptotal=1 k_max_info_width=1 x_ipcorerevision=6
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_switchboard x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_switchboard_v1_0_6_top/4
c_connectivity=0b11 c_m_pipelines=1 c_num_mi=2 c_num_si=1
c_payld_width=277 c_s_latency=0 c_s_pipelines=0 c_testing_mode=0
core_container=NA iptotal=1 k_max_info_width=1 x_ipcorerevision=6
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_switchboard x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_switchboard_v1_0_6_top/5
c_connectivity=11 c_m_pipelines=1 c_num_mi=1 c_num_si=2
c_payld_width=304 c_s_latency=0 c_s_pipelines=0 c_testing_mode=0
core_container=NA iptotal=1 k_max_info_width=1 x_ipcorerevision=6
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=sc_switchboard x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=1.0
sc_transaction_regulator_v1_0_9_top/1
c_addr_width=40 c_enable_pipelining=0x1 c_family=zynquplus c_is_cascaded=0
c_m_id_width=2 c_mep_identifier=1 c_mep_identifier_width=2 c_num_read_outstanding=8
c_num_read_threads=1 c_num_write_outstanding=8 c_num_write_threads=1 c_rdata_width=128
c_read_acceptance=32 c_s_id_width=16 c_sep_route_width=1 c_single_issuing=0
c_supports_read_deadlock=0 c_supports_write_deadlock=0 c_wdata_width=128 c_write_acceptance=32
core_container=NA iptotal=1 x_ipcorerevision=9 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_transaction_regulator x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
sc_transaction_regulator_v1_0_9_top/2
c_addr_width=40 c_enable_pipelining=0x1 c_family=zynquplus c_is_cascaded=0
c_m_id_width=2 c_mep_identifier=2 c_mep_identifier_width=2 c_num_read_outstanding=8
c_num_read_threads=1 c_num_write_outstanding=8 c_num_write_threads=1 c_rdata_width=128
c_read_acceptance=32 c_s_id_width=16 c_sep_route_width=1 c_single_issuing=0
c_supports_read_deadlock=0 c_supports_write_deadlock=0 c_wdata_width=128 c_write_acceptance=32
core_container=NA iptotal=1 x_ipcorerevision=9 x_iplanguage=VERILOG
x_iplibrary=ip x_ipname=sc_transaction_regulator x_ipproduct=Vivado 2020.2 x_ipsimlanguage=MIXED
x_ipvendor=xilinx.com x_ipversion=1.0
xpm_cdc_async_rst/1
core_container=NA def_val=1'b1 dest_sync_ff=3 init_sync_ff=0
inv_def_val=1'b0 iptotal=27 rst_active_high=0 version=0
xpm_cdc_gray/1
core_container=NA dest_sync_ff=3 init_sync_ff=1 iptotal=14
reg_output=0 sim_assert_chk=0 sim_lossless_gray_chk=0 version=0
width=6
xpm_memory_base/1
width=6 addr_width_a=5 addr_width_b=5 auto_sleep_time=0
byte_write_width_a=152 byte_write_width_b=152 cascade_height=0 clocking_mode=0
core_container=NA ecc_mode=0 iptotal=27 max_num_char=0
memory_optimization=true memory_primitive=1 memory_size=4864 memory_type=1
message_control=0 num_char_loc=0 p_ecc_mode=no_ecc p_enable_byte_write_a=0
p_enable_byte_write_b=0 p_max_depth_data=32 p_memory_opt=yes p_memory_primitive=distributed
p_min_width_data=152 p_min_width_data_a=152 p_min_width_data_b=152 p_min_width_data_ecc=152
p_min_width_data_ldw=4 p_min_width_data_shft=152 p_num_cols_write_a=1 p_num_cols_write_b=1
p_num_rows_read_a=1 p_num_rows_read_b=1 p_num_rows_write_a=1 p_num_rows_write_b=1
p_sdp_write_mode=yes p_width_addr_lsb_read_a=0 p_width_addr_lsb_read_b=0 p_width_addr_lsb_write_a=0
p_width_addr_lsb_write_b=0 p_width_addr_read_a=5 p_width_addr_read_b=5 p_width_addr_write_a=5
p_width_addr_write_b=5 p_width_col_write_a=152 p_width_col_write_b=152 read_data_width_a=152
read_data_width_b=152 read_latency_a=2 read_latency_b=1 read_reset_value_a=0
read_reset_value_b=0 rst_mode_a=SYNC rst_mode_b=SYNC rsta_loop_iter=152
rstb_loop_iter=152 sim_assert_chk=0 use_embedded_constraint=0 use_mem_init=0
use_mem_init_mmi=0 version=0 wakeup_time=0 write_data_width_a=152
write_data_width_b=152 write_mode_a=1 write_mode_b=1 write_protect=1
xpm_memory_sdpram/1
write_protect=1 addr_width_a=5 addr_width_b=5 auto_sleep_time=0
byte_write_width_a=152 cascade_height=0 clocking_mode=0 core_container=NA
ecc_mode=0 iptotal=27 memory_optimization=true memory_primitive=1
memory_size=4864 message_control=0 p_clocking_mode=0 p_ecc_mode=0
p_memory_optimization=1 p_memory_primitive=1 p_wakeup_time=0 p_write_mode_b=1
read_data_width_b=152 read_latency_b=1 read_reset_value_b=0 rst_mode_a=SYNC
rst_mode_b=SYNC sim_assert_chk=0 use_embedded_constraint=0 use_mem_init=0
use_mem_init_mmi=0 wakeup_time=0 write_data_width_a=152 write_mode_b=1
write_protect=1
zynq_ultra_ps_e_v3_3_3_zynq_ultra_ps_e/1
c_dp_use_audio=0 c_dp_use_video=0 c_emio_gpio_width=95 c_en_emio_trace=0
c_en_fifo_enet0=0 c_en_fifo_enet1=0 c_en_fifo_enet2=0 c_en_fifo_enet3=0
c_maxigp0_data_width=128 c_maxigp1_data_width=128 c_maxigp2_data_width=32 c_num_f2p_0_intr_inputs=1
c_num_f2p_1_intr_inputs=1 c_num_fabric_resets=1 c_pl_clk0_buf=TRUE c_pl_clk1_buf=FALSE
c_pl_clk2_buf=FALSE c_pl_clk3_buf=FALSE c_saxigp0_data_width=128 c_saxigp1_data_width=128
c_saxigp2_data_width=128 c_saxigp3_data_width=128 c_saxigp4_data_width=128 c_saxigp5_data_width=128
c_saxigp6_data_width=128 c_sd0_internal_bus_width=4 c_sd1_internal_bus_width=4 c_trace_data_width=32
c_trace_pipeline_width=8 c_use_debug_test=0 c_use_diff_rw_clk_gp0=0 c_use_diff_rw_clk_gp1=0
c_use_diff_rw_clk_gp2=0 c_use_diff_rw_clk_gp3=0 c_use_diff_rw_clk_gp4=0 c_use_diff_rw_clk_gp5=0
c_use_diff_rw_clk_gp6=0 core_container=NA iptotal=1 x_ipcorerevision=3
x_iplanguage=VERILOG x_iplibrary=ip x_ipname=zynq_ultra_ps_e x_ipproduct=Vivado 2020.2
x_ipsimlanguage=MIXED x_ipvendor=xilinx.com x_ipversion=3.3
zynq_ultra_ps_e_v3_3_user_configuration/1
x_ipversion=3.3 core_container=NA iptotal=1 psu__acpu0__power__on=1
psu__acpu1__power__on=1 psu__acpu2__power__on=1 psu__acpu3__power__on=1 psu__actual__ip=1
psu__can0__grp_clk__enable=0 psu__can0__peripheral__enable=0 psu__can0_loop_can1__enable=0 psu__can1__grp_clk__enable=0
psu__can1__peripheral__enable=0 psu__crf_apb__acpu_ctrl__act_freqmhz=1333.320068 psu__crf_apb__acpu_ctrl__divisor0=1 psu__crf_apb__acpu_ctrl__freqmhz=1333.333
psu__crf_apb__acpu_ctrl__srcsel=APLL psu__crf_apb__afi0_ref__enable=0 psu__crf_apb__afi0_ref_ctrl__act_freqmhz=667 psu__crf_apb__afi0_ref_ctrl__divisor0=2
psu__crf_apb__afi0_ref_ctrl__freqmhz=667 psu__crf_apb__afi0_ref_ctrl__srcsel=DPLL psu__crf_apb__afi1_ref__enable=0 psu__crf_apb__afi1_ref_ctrl__act_freqmhz=667
psu__crf_apb__afi1_ref_ctrl__divisor0=2 psu__crf_apb__afi1_ref_ctrl__freqmhz=667 psu__crf_apb__afi1_ref_ctrl__srcsel=DPLL psu__crf_apb__afi2_ref__enable=0
psu__crf_apb__afi2_ref_ctrl__act_freqmhz=667 psu__crf_apb__afi2_ref_ctrl__divisor0=2 psu__crf_apb__afi2_ref_ctrl__freqmhz=667 psu__crf_apb__afi2_ref_ctrl__srcsel=DPLL
psu__crf_apb__afi3_ref__enable=0 psu__crf_apb__afi3_ref_ctrl__act_freqmhz=667 psu__crf_apb__afi3_ref_ctrl__divisor0=2 psu__crf_apb__afi3_ref_ctrl__freqmhz=667
psu__crf_apb__afi3_ref_ctrl__srcsel=DPLL psu__crf_apb__afi4_ref__enable=0 psu__crf_apb__afi4_ref_ctrl__act_freqmhz=667 psu__crf_apb__afi4_ref_ctrl__divisor0=2
psu__crf_apb__afi4_ref_ctrl__freqmhz=667 psu__crf_apb__afi4_ref_ctrl__srcsel=DPLL psu__crf_apb__afi5_ref__enable=0 psu__crf_apb__afi5_ref_ctrl__act_freqmhz=667
psu__crf_apb__afi5_ref_ctrl__divisor0=2 psu__crf_apb__afi5_ref_ctrl__freqmhz=667 psu__crf_apb__afi5_ref_ctrl__srcsel=DPLL psu__crf_apb__apll_ctrl__div2=1
psu__crf_apb__apll_ctrl__fbdiv=80 psu__crf_apb__apll_ctrl__srcsel=PSS_REF_CLK psu__crf_apb__apll_frac_cfg__enabled=0 psu__crf_apb__apll_to_lpd_ctrl__divisor0=3
psu__crf_apb__apm_ctrl__act_freqmhz=1 psu__crf_apb__apm_ctrl__divisor0=1 psu__crf_apb__apm_ctrl__freqmhz=1 psu__crf_apb__dbg_fpd_ctrl__act_freqmhz=249.997498
psu__crf_apb__dbg_fpd_ctrl__divisor0=2 psu__crf_apb__dbg_fpd_ctrl__freqmhz=250 psu__crf_apb__dbg_fpd_ctrl__srcsel=IOPLL psu__crf_apb__dbg_trace_ctrl__act_freqmhz=250
psu__crf_apb__dbg_trace_ctrl__divisor0=5 psu__crf_apb__dbg_trace_ctrl__freqmhz=250 psu__crf_apb__dbg_trace_ctrl__srcsel=IOPLL psu__crf_apb__dbg_tstmp_ctrl__act_freqmhz=249.997498
psu__crf_apb__dbg_tstmp_ctrl__divisor0=2 psu__crf_apb__dbg_tstmp_ctrl__freqmhz=250 psu__crf_apb__dbg_tstmp_ctrl__srcsel=IOPLL psu__crf_apb__ddr_ctrl__act_freqmhz=533.328003
psu__crf_apb__ddr_ctrl__divisor0=2 psu__crf_apb__ddr_ctrl__freqmhz=1067 psu__crf_apb__ddr_ctrl__srcsel=DPLL psu__crf_apb__dp_audio_ref_ctrl__act_freqmhz=24.242182
psu__crf_apb__dp_audio_ref_ctrl__divisor0=63 psu__crf_apb__dp_audio_ref_ctrl__divisor1=1 psu__crf_apb__dp_audio_ref_ctrl__freqmhz=25 psu__crf_apb__dp_audio_ref_ctrl__srcsel=RPLL
psu__crf_apb__dp_stc_ref_ctrl__act_freqmhz=26.666401 psu__crf_apb__dp_stc_ref_ctrl__divisor0=6 psu__crf_apb__dp_stc_ref_ctrl__divisor1=10 psu__crf_apb__dp_stc_ref_ctrl__freqmhz=27
psu__crf_apb__dp_stc_ref_ctrl__srcsel=RPLL psu__crf_apb__dp_video_ref_ctrl__act_freqmhz=299.997009 psu__crf_apb__dp_video_ref_ctrl__divisor0=5 psu__crf_apb__dp_video_ref_ctrl__divisor1=1
psu__crf_apb__dp_video_ref_ctrl__freqmhz=300 psu__crf_apb__dp_video_ref_ctrl__srcsel=VPLL psu__crf_apb__dpdma_ref_ctrl__act_freqmhz=533.328003 psu__crf_apb__dpdma_ref_ctrl__divisor0=2
psu__crf_apb__dpdma_ref_ctrl__freqmhz=600 psu__crf_apb__dpdma_ref_ctrl__srcsel=DPLL psu__crf_apb__dpll_ctrl__div2=1 psu__crf_apb__dpll_ctrl__fbdiv=64
psu__crf_apb__dpll_ctrl__srcsel=PSS_REF_CLK psu__crf_apb__dpll_frac_cfg__enabled=0 psu__crf_apb__dpll_to_lpd_ctrl__divisor0=2 psu__crf_apb__gdma_ref_ctrl__act_freqmhz=533.328003
psu__crf_apb__gdma_ref_ctrl__divisor0=2 psu__crf_apb__gdma_ref_ctrl__freqmhz=600 psu__crf_apb__gdma_ref_ctrl__srcsel=DPLL psu__crf_apb__gpu_ref_ctrl__act_freqmhz=499.994995
psu__crf_apb__gpu_ref_ctrl__divisor0=1 psu__crf_apb__gpu_ref_ctrl__freqmhz=600 psu__crf_apb__gpu_ref_ctrl__srcsel=IOPLL psu__crf_apb__pcie_ref_ctrl__act_freqmhz=249.997498
psu__crf_apb__pcie_ref_ctrl__divisor0=6 psu__crf_apb__pcie_ref_ctrl__freqmhz=250 psu__crf_apb__pcie_ref_ctrl__srcsel=IOPLL psu__crf_apb__sata_ref_ctrl__act_freqmhz=249.997498
psu__crf_apb__sata_ref_ctrl__divisor0=5 psu__crf_apb__sata_ref_ctrl__freqmhz=250 psu__crf_apb__sata_ref_ctrl__srcsel=IOPLL psu__crf_apb__topsw_lsbus_ctrl__act_freqmhz=99.999001
psu__crf_apb__topsw_lsbus_ctrl__divisor0=5 psu__crf_apb__topsw_lsbus_ctrl__freqmhz=100 psu__crf_apb__topsw_lsbus_ctrl__srcsel=IOPLL psu__crf_apb__topsw_main_ctrl__act_freqmhz=533.328003
psu__crf_apb__topsw_main_ctrl__divisor0=2 psu__crf_apb__topsw_main_ctrl__freqmhz=533.333 psu__crf_apb__topsw_main_ctrl__srcsel=DPLL psu__crf_apb__vpll_ctrl__div2=1
psu__crf_apb__vpll_ctrl__fbdiv=90 psu__crf_apb__vpll_ctrl__srcsel=PSS_REF_CLK psu__crf_apb__vpll_frac_cfg__enabled=0 psu__crf_apb__vpll_to_lpd_ctrl__divisor0=3
psu__crl_apb__adma_ref_ctrl__act_freqmhz=533.328003 psu__crl_apb__adma_ref_ctrl__divisor0=2 psu__crl_apb__adma_ref_ctrl__freqmhz=533.333 psu__crl_apb__adma_ref_ctrl__srcsel=RPLL
psu__crl_apb__afi6__enable=0 psu__crl_apb__afi6_ref_ctrl__act_freqmhz=500 psu__crl_apb__afi6_ref_ctrl__divisor0=3 psu__crl_apb__afi6_ref_ctrl__freqmhz=500
psu__crl_apb__afi6_ref_ctrl__srcsel=IOPLL psu__crl_apb__ams_ref_ctrl__act_freqmhz=49.999500 psu__crl_apb__ams_ref_ctrl__divisor0=30 psu__crl_apb__ams_ref_ctrl__divisor1=1
psu__crl_apb__ams_ref_ctrl__freqmhz=50 psu__crl_apb__ams_ref_ctrl__srcsel=IOPLL psu__crl_apb__can0_ref_ctrl__act_freqmhz=99.999000 psu__crl_apb__can0_ref_ctrl__divisor0=15
psu__crl_apb__can0_ref_ctrl__divisor1=1 psu__crl_apb__can0_ref_ctrl__freqmhz=100 psu__crl_apb__can0_ref_ctrl__srcsel=IOPLL psu__crl_apb__can1_ref_ctrl__act_freqmhz=99.999001
psu__crl_apb__can1_ref_ctrl__divisor0=15 psu__crl_apb__can1_ref_ctrl__divisor1=1 psu__crl_apb__can1_ref_ctrl__freqmhz=100 psu__crl_apb__can1_ref_ctrl__srcsel=IOPLL
psu__crl_apb__cpu_r5_ctrl__act_freqmhz=533.328003 psu__crl_apb__cpu_r5_ctrl__divisor0=2 psu__crl_apb__cpu_r5_ctrl__freqmhz=533.333 psu__crl_apb__cpu_r5_ctrl__srcsel=RPLL
psu__crl_apb__csu_pll_ctrl__act_freqmhz=180 psu__crl_apb__csu_pll_ctrl__divisor0=3 psu__crl_apb__csu_pll_ctrl__freqmhz=180 psu__crl_apb__csu_pll_ctrl__srcsel=SysOsc
psu__crl_apb__dbg_lpd_ctrl__act_freqmhz=249.997498 psu__crl_apb__dbg_lpd_ctrl__divisor0=6 psu__crl_apb__dbg_lpd_ctrl__freqmhz=250 psu__crl_apb__dbg_lpd_ctrl__srcsel=IOPLL
psu__crl_apb__debug_r5_atclk_ctrl__act_freqmhz=1000 psu__crl_apb__debug_r5_atclk_ctrl__divisor0=6 psu__crl_apb__debug_r5_atclk_ctrl__freqmhz=1000 psu__crl_apb__debug_r5_atclk_ctrl__srcsel=RPLL
psu__crl_apb__dll_ref_ctrl__act_freqmhz=1499.984985 psu__crl_apb__dll_ref_ctrl__freqmhz=1500 psu__crl_apb__dll_ref_ctrl__srcsel=IOPLL psu__crl_apb__gem0_ref_ctrl__act_freqmhz=125
psu__crl_apb__gem0_ref_ctrl__divisor0=12 psu__crl_apb__gem0_ref_ctrl__divisor1=1 psu__crl_apb__gem0_ref_ctrl__freqmhz=125 psu__crl_apb__gem0_ref_ctrl__srcsel=IOPLL
psu__crl_apb__gem1_ref_ctrl__act_freqmhz=124.998749 psu__crl_apb__gem1_ref_ctrl__divisor0=12 psu__crl_apb__gem1_ref_ctrl__divisor1=1 psu__crl_apb__gem1_ref_ctrl__freqmhz=125
psu__crl_apb__gem1_ref_ctrl__srcsel=IOPLL psu__crl_apb__gem2_ref_ctrl__act_freqmhz=124.998749 psu__crl_apb__gem2_ref_ctrl__divisor0=12 psu__crl_apb__gem2_ref_ctrl__divisor1=1
psu__crl_apb__gem2_ref_ctrl__freqmhz=125 psu__crl_apb__gem2_ref_ctrl__srcsel=IOPLL psu__crl_apb__gem3_ref_ctrl__act_freqmhz=125 psu__crl_apb__gem3_ref_ctrl__divisor0=12
psu__crl_apb__gem3_ref_ctrl__divisor1=1 psu__crl_apb__gem3_ref_ctrl__freqmhz=125 psu__crl_apb__gem3_ref_ctrl__srcsel=IOPLL psu__crl_apb__gem_tsu_ref_ctrl__act_freqmhz=249.997498
psu__crl_apb__gem_tsu_ref_ctrl__divisor0=6 psu__crl_apb__gem_tsu_ref_ctrl__divisor1=1 psu__crl_apb__gem_tsu_ref_ctrl__freqmhz=250 psu__crl_apb__gem_tsu_ref_ctrl__srcsel=IOPLL
psu__crl_apb__i2c0_ref_ctrl__act_freqmhz=99.999001 psu__crl_apb__i2c0_ref_ctrl__divisor0=15 psu__crl_apb__i2c0_ref_ctrl__divisor1=1 psu__crl_apb__i2c0_ref_ctrl__freqmhz=100
psu__crl_apb__i2c0_ref_ctrl__srcsel=IOPLL psu__crl_apb__i2c1_ref_ctrl__act_freqmhz=99.999001 psu__crl_apb__i2c1_ref_ctrl__divisor0=15 psu__crl_apb__i2c1_ref_ctrl__divisor1=1
psu__crl_apb__i2c1_ref_ctrl__freqmhz=100 psu__crl_apb__i2c1_ref_ctrl__srcsel=IOPLL psu__crl_apb__iopll_ctrl__div2=1 psu__crl_apb__iopll_ctrl__fbdiv=90
psu__crl_apb__iopll_ctrl__srcsel=PSS_REF_CLK psu__crl_apb__iopll_frac_cfg__enabled=0 psu__crl_apb__iopll_to_fpd_ctrl__divisor0=3 psu__crl_apb__iou_switch_ctrl__act_freqmhz=266.664001
psu__crl_apb__iou_switch_ctrl__divisor0=4 psu__crl_apb__iou_switch_ctrl__freqmhz=267 psu__crl_apb__iou_switch_ctrl__srcsel=RPLL psu__crl_apb__lpd_lsbus_ctrl__act_freqmhz=99.999001
psu__crl_apb__lpd_lsbus_ctrl__divisor0=15 psu__crl_apb__lpd_lsbus_ctrl__freqmhz=100 psu__crl_apb__lpd_lsbus_ctrl__srcsel=IOPLL psu__crl_apb__lpd_switch_ctrl__act_freqmhz=533.328003
psu__crl_apb__lpd_switch_ctrl__divisor0=2 psu__crl_apb__lpd_switch_ctrl__freqmhz=533.333 psu__crl_apb__lpd_switch_ctrl__srcsel=RPLL psu__crl_apb__nand_ref_ctrl__act_freqmhz=99.999001
psu__crl_apb__nand_ref_ctrl__divisor0=15 psu__crl_apb__nand_ref_ctrl__divisor1=1 psu__crl_apb__nand_ref_ctrl__freqmhz=100 psu__crl_apb__nand_ref_ctrl__srcsel=IOPLL
psu__crl_apb__ocm_main_ctrl__act_freqmhz=500 psu__crl_apb__ocm_main_ctrl__divisor0=3 psu__crl_apb__ocm_main_ctrl__freqmhz=500 psu__crl_apb__ocm_main_ctrl__srcsel=IOPLL
psu__crl_apb__pcap_ctrl__act_freqmhz=187.498123 psu__crl_apb__pcap_ctrl__divisor0=8 psu__crl_apb__pcap_ctrl__freqmhz=200 psu__crl_apb__pcap_ctrl__srcsel=IOPLL
psu__crl_apb__pl0_ref_ctrl__act_freqmhz=49.999500 psu__crl_apb__pl0_ref_ctrl__divisor0=30 psu__crl_apb__pl0_ref_ctrl__divisor1=1 psu__crl_apb__pl0_ref_ctrl__freqmhz=50
psu__crl_apb__pl0_ref_ctrl__srcsel=IOPLL psu__crl_apb__pl1_ref_ctrl__act_freqmhz=100 psu__crl_apb__pl1_ref_ctrl__divisor0=4 psu__crl_apb__pl1_ref_ctrl__divisor1=1
psu__crl_apb__pl1_ref_ctrl__freqmhz=100 psu__crl_apb__pl1_ref_ctrl__srcsel=RPLL psu__crl_apb__pl2_ref_ctrl__act_freqmhz=100 psu__crl_apb__pl2_ref_ctrl__divisor0=4
psu__crl_apb__pl2_ref_ctrl__divisor1=1 psu__crl_apb__pl2_ref_ctrl__freqmhz=100 psu__crl_apb__pl2_ref_ctrl__srcsel=RPLL psu__crl_apb__pl3_ref_ctrl__act_freqmhz=100
psu__crl_apb__pl3_ref_ctrl__divisor0=4 psu__crl_apb__pl3_ref_ctrl__divisor1=1 psu__crl_apb__pl3_ref_ctrl__freqmhz=100 psu__crl_apb__pl3_ref_ctrl__srcsel=RPLL
psu__crl_apb__qspi_ref_ctrl__act_freqmhz=299.997009 psu__crl_apb__qspi_ref_ctrl__divisor0=5 psu__crl_apb__qspi_ref_ctrl__divisor1=1 psu__crl_apb__qspi_ref_ctrl__freqmhz=300
psu__crl_apb__qspi_ref_ctrl__srcsel=IOPLL psu__crl_apb__rpll_ctrl__div2=1 psu__crl_apb__rpll_ctrl__fbdiv=64 psu__crl_apb__rpll_ctrl__srcsel=PSS_REF_CLK
psu__crl_apb__rpll_frac_cfg__enabled=0 psu__crl_apb__rpll_to_fpd_ctrl__divisor0=2 psu__crl_apb__sdio0_ref_ctrl__act_freqmhz=48.484364 psu__crl_apb__sdio0_ref_ctrl__divisor0=22
psu__crl_apb__sdio0_ref_ctrl__divisor1=1 psu__crl_apb__sdio0_ref_ctrl__freqmhz=50 psu__crl_apb__sdio0_ref_ctrl__srcsel=RPLL psu__crl_apb__sdio1_ref_ctrl__act_freqmhz=96.968727
psu__crl_apb__sdio1_ref_ctrl__divisor0=11 psu__crl_apb__sdio1_ref_ctrl__divisor1=1 psu__crl_apb__sdio1_ref_ctrl__freqmhz=100 psu__crl_apb__sdio1_ref_ctrl__srcsel=RPLL
psu__crl_apb__spi0_ref_ctrl__act_freqmhz=214 psu__crl_apb__spi0_ref_ctrl__divisor0=7 psu__crl_apb__spi0_ref_ctrl__divisor1=1 psu__crl_apb__spi0_ref_ctrl__freqmhz=200
psu__crl_apb__spi0_ref_ctrl__srcsel=RPLL psu__crl_apb__spi1_ref_ctrl__act_freqmhz=214 psu__crl_apb__spi1_ref_ctrl__divisor0=7 psu__crl_apb__spi1_ref_ctrl__divisor1=1
psu__crl_apb__spi1_ref_ctrl__freqmhz=200 psu__crl_apb__spi1_ref_ctrl__srcsel=RPLL psu__crl_apb__timestamp_ref_ctrl__act_freqmhz=33.333000 psu__crl_apb__timestamp_ref_ctrl__divisor0=1
psu__crl_apb__timestamp_ref_ctrl__freqmhz=100 psu__crl_apb__timestamp_ref_ctrl__srcsel=PSS_REF_CLK psu__crl_apb__uart0_ref_ctrl__act_freqmhz=99.999001 psu__crl_apb__uart0_ref_ctrl__divisor0=15
psu__crl_apb__uart0_ref_ctrl__divisor1=1 psu__crl_apb__uart0_ref_ctrl__freqmhz=100 psu__crl_apb__uart0_ref_ctrl__srcsel=IOPLL psu__crl_apb__uart1_ref_ctrl__act_freqmhz=99.999001
psu__crl_apb__uart1_ref_ctrl__divisor0=15 psu__crl_apb__uart1_ref_ctrl__divisor1=1 psu__crl_apb__uart1_ref_ctrl__freqmhz=100 psu__crl_apb__uart1_ref_ctrl__srcsel=IOPLL
psu__crl_apb__usb0_bus_ref_ctrl__act_freqmhz=249.997500 psu__crl_apb__usb0_bus_ref_ctrl__divisor0=6 psu__crl_apb__usb0_bus_ref_ctrl__divisor1=1 psu__crl_apb__usb0_bus_ref_ctrl__freqmhz=250
psu__crl_apb__usb0_bus_ref_ctrl__srcsel=IOPLL psu__crl_apb__usb1_bus_ref_ctrl__act_freqmhz=249.997498 psu__crl_apb__usb1_bus_ref_ctrl__divisor0=6 psu__crl_apb__usb1_bus_ref_ctrl__divisor1=1
psu__crl_apb__usb1_bus_ref_ctrl__freqmhz=250 psu__crl_apb__usb1_bus_ref_ctrl__srcsel=IOPLL psu__crl_apb__usb3__enable=0 psu__crl_apb__usb3_dual_ref_ctrl__act_freqmhz=19.999800
psu__crl_apb__usb3_dual_ref_ctrl__divisor0=25 psu__crl_apb__usb3_dual_ref_ctrl__divisor1=3 psu__crl_apb__usb3_dual_ref_ctrl__freqmhz=20 psu__crl_apb__usb3_dual_ref_ctrl__srcsel=IOPLL
psu__csu__csu_tamper_0__enable=0 psu__csu__csu_tamper_0__erase_bbram=0 psu__csu__csu_tamper_10__enable=0 psu__csu__csu_tamper_10__erase_bbram=0
psu__csu__csu_tamper_11__enable=0 psu__csu__csu_tamper_11__erase_bbram=0 psu__csu__csu_tamper_12__enable=0 psu__csu__csu_tamper_12__erase_bbram=0
psu__csu__csu_tamper_1__enable=0 psu__csu__csu_tamper_1__erase_bbram=0 psu__csu__csu_tamper_2__enable=0 psu__csu__csu_tamper_2__erase_bbram=0
psu__csu__csu_tamper_3__enable=0 psu__csu__csu_tamper_3__erase_bbram=0 psu__csu__csu_tamper_4__enable=0 psu__csu__csu_tamper_4__erase_bbram=0
psu__csu__csu_tamper_5__enable=0 psu__csu__csu_tamper_5__erase_bbram=0 psu__csu__csu_tamper_6__enable=0 psu__csu__csu_tamper_6__erase_bbram=0
psu__csu__csu_tamper_7__enable=0 psu__csu__csu_tamper_7__erase_bbram=0 psu__csu__csu_tamper_8__enable=0 psu__csu__csu_tamper_8__erase_bbram=0
psu__csu__csu_tamper_9__enable=0 psu__csu__csu_tamper_9__erase_bbram=0 psu__csu__peripheral__enable=0 psu__ddr_qos_enable=0
psu__ddrc__al=0 psu__ddrc__bank_addr_count=2 psu__ddrc__bus_width=64 Bit psu__ddrc__cl=15
psu__ddrc__clock_stop_en=0 psu__ddrc__col_addr_count=10 psu__ddrc__cwl=14 psu__ddrc__device_capacity=8192 MBits
psu__ddrc__dram_width=8 Bits psu__ddrc__ecc=Disabled psu__ddrc__enable=1 psu__ddrc__freq_mhz=1
psu__ddrc__memory_type=DDR 4 psu__ddrc__row_addr_count=16 psu__ddrc__speed_bin=DDR4_2133P psu__ddrc__t_faw=30.0
psu__ddrc__t_ras_min=33 psu__ddrc__t_rc=46.5 psu__ddrc__t_rcd=15 psu__ddrc__t_rp=15
psu__ddrc__train_data_eye=1 psu__ddrc__train_read_gate=1 psu__ddrc__train_write_level=1 psu__displayport__peripheral__enable=0
psu__dpaux__peripheral__enable=0 psu__enet0__grp_mdio__enable=0 psu__enet0__peripheral__enable=0 psu__enet1__grp_mdio__enable=1
psu__enet1__grp_mdio__io=EMIO psu__enet1__peripheral__enable=1 psu__enet1__peripheral__io=EMIO psu__enet2__grp_mdio__enable=1
psu__enet2__grp_mdio__io=MIO 76 .. 77 psu__enet2__peripheral__enable=1 psu__enet2__peripheral__io=MIO 52 .. 63 psu__enet3__grp_mdio__enable=0
psu__enet3__peripheral__enable=0 psu__ep__ip=0 psu__fp__power__on=1 psu__fpd_slcr__wdt_clk_sel__select=APB
psu__fpga_pl0_enable=1 psu__fpga_pl1_enable=0 psu__fpga_pl2_enable=0 psu__fpga_pl3_enable=0
psu__gem__tsu__enable=0 psu__gen_ipi_0__master=APU psu__gen_ipi_10__master=NONE psu__gen_ipi_1__master=RPU0
psu__gen_ipi_2__master=RPU1 psu__gen_ipi_3__master=PMU psu__gen_ipi_4__master=PMU psu__gen_ipi_5__master=PMU
psu__gen_ipi_6__master=PMU psu__gen_ipi_7__master=NONE psu__gen_ipi_8__master=NONE psu__gen_ipi_9__master=NONE
psu__gpio0_mio__io=MIO 0 .. 25 psu__gpio0_mio__peripheral__enable=1 psu__gpio1_mio__io=MIO 26 .. 51 psu__gpio1_mio__peripheral__enable=1
psu__gpio2_mio__io=MIO 52 .. 77 psu__gpio2_mio__peripheral__enable=1 psu__gpio_emio__peripheral__enable=1 psu__gpio_emio__peripheral__io=95
psu__gpu_pp0__power__on=1 psu__gpu_pp1__power__on=1 psu__i2c0__grp_int__enable=0 psu__i2c0__peripheral__enable=0
psu__i2c0_loop_i2c1__enable=0 psu__i2c1__grp_int__enable=0 psu__i2c1__peripheral__enable=0 psu__iou_slcr__iou_ttc_apb_clk__ttc0_sel=APB
psu__iou_slcr__iou_ttc_apb_clk__ttc1_sel=APB psu__iou_slcr__iou_ttc_apb_clk__ttc2_sel=APB psu__iou_slcr__iou_ttc_apb_clk__ttc3_sel=APB psu__iou_slcr__wdt_clk_sel__select=APB
psu__l2_bank0__power__on=1 psu__nand__chip_enable__enable=0 psu__nand__data_strobe__enable=1 psu__nand__data_strobe__io=MIO 12
psu__nand__peripheral__enable=1 psu__nand__peripheral__io=MIO 13 .. 25 psu__nand__ready_busy__enable=0 psu__ocm_bank0__power__on=1
psu__ocm_bank1__power__on=1 psu__ocm_bank2__power__on=1 psu__ocm_bank3__power__on=1 psu__override__basic_clock=0
psu__pcie__peripheral__enable=0 psu__pjtag__peripheral__enable=0 psu__pl__power__on=1 psu__pmu__emio_gpi__enable=0
psu__pmu__emio_gpo__enable=0 psu__pmu__gpi0__enable=0 psu__pmu__gpi1__enable=0 psu__pmu__gpi2__enable=0
psu__pmu__gpi3__enable=0 psu__pmu__gpi4__enable=0 psu__pmu__gpi5__enable=0 psu__pmu__gpo0__enable=0
psu__pmu__gpo1__enable=0 psu__pmu__gpo2__enable=0 psu__pmu__gpo3__enable=0 psu__pmu__gpo4__enable=0
psu__pmu__gpo5__enable=0 psu__pmu__peripheral__enable=0 psu__protection__ddr_segments=NONE psu__protection__debug=0
psu__protection__fpd_segments=SA:0xFD1A0000 ;SIZE:1280;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD000000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD010000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD020000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD030000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD040000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD050000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD610000 ;SIZE:512;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware | SA:0xFD5D0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware psu__protection__lpd_segments=SA:0xFF980000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF5E0000 ;SIZE:2560;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFFCC0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF180000 ;SIZE:768;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF410000 ;SIZE:640;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFFA70000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware|SA:0xFF9A0000 ;SIZE:64;UNIT:KB ;RegionTZ:Secure ;WrAllowed:Read/Write;subsystemId:PMU Firmware psu__protection__ocm_segments=NONE psu__protection__presubsystems=NONE
psu__protection__subsystems=PMU Firmware:PMU psu__qspi__grp_fbclk__enable=0 psu__qspi__peripheral__data_mode=x4 psu__qspi__peripheral__enable=1
psu__qspi__peripheral__io=MIO 0 .. 5 psu__qspi__peripheral__mode=Single psu__rpu__power__on=1 psu__sata__lane0__enable=0
psu__sata__lane1__enable=0 psu__sata__peripheral__enable=0 psu__sd0__grp_cd__enable=0 psu__sd0__grp_pow__enable=0
psu__sd0__grp_wp__enable=0 psu__sd0__peripheral__enable=1 psu__sd0__peripheral__io=MIO 38 .. 44 psu__sd0__slot_type=SD 2.0
psu__sd1__grp_cd__enable=1 psu__sd1__grp_cd__io=MIO 45 psu__sd1__grp_pow__enable=0 psu__sd1__grp_wp__enable=0
psu__sd1__peripheral__enable=1 psu__sd1__peripheral__io=MIO 46 .. 51 psu__sd1__slot_type=SD 2.0 psu__spi0__grp_ss0__enable=0
psu__spi0__grp_ss1__enable=0 psu__spi0__grp_ss2__enable=0 psu__spi0__peripheral__enable=0 psu__spi0_loop_spi1__enable=0
psu__spi1__grp_ss0__enable=0 psu__spi1__grp_ss1__enable=0 psu__spi1__grp_ss2__enable=0 psu__spi1__peripheral__enable=0
psu__swdt0__peripheral__enable=0 psu__swdt1__peripheral__enable=0 psu__tcm0a__power__on=1 psu__tcm0b__power__on=1
psu__tcm1a__power__on=1 psu__tcm1b__power__on=1 psu__testscan__peripheral__enable=0 psu__trace__peripheral__enable=0
psu__ttc0__peripheral__enable=0 psu__ttc1__peripheral__enable=0 psu__ttc2__peripheral__enable=0 psu__ttc3__peripheral__enable=0
psu__uart0__baud_rate=115200 psu__uart0__modem__enable=0 psu__uart0__peripheral__enable=1 psu__uart0__peripheral__io=EMIO
psu__uart0_loop_uart1__enable=0 psu__uart1__baud_rate=115200 psu__uart1__modem__enable=0 psu__uart1__peripheral__enable=1
psu__uart1__peripheral__io=MIO 32 .. 33 psu__usb0__peripheral__enable=0 psu__usb1__peripheral__enable=1 psu__usb1__peripheral__io=MIO 64 .. 75

report_drc
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -internal=default::[not_specified] -internal_only=default::[not_specified] -max_msgs_per_check=default::[not_specified]
-messages=default::[not_specified] -name=default::[not_specified] -no_waivers=default::[not_specified] -return_string=default::[not_specified]
-ruledecks=default::[not_specified] -upgrade_cw=default::[not_specified] -waived=default::[not_specified]
results
dpip-2=128 dpop-3=64 dpop-4=64 rtstat-10=1

report_methodology
command_line_options
-append=default::[not_specified] -checks=default::[not_specified] -fail_on=default::[not_specified] -force=default::[not_specified]
-format=default::[not_specified] -messages=default::[not_specified] -name=default::[not_specified] -return_string=default::[not_specified]
-slack_lesser_than=default::[not_specified] -waived=default::[not_specified]
results
clkc-40=1 clkc-56=1 lutar-1=50 timing-2=1
timing-4=1

report_power
command_line_options
-advisory=default::[not_specified] -append=default::[not_specified] -file=[specified] -format=default::text
-hier=default::power -hierarchical_depth=default::4 -l=default::[not_specified] -name=default::[not_specified]
-no_propagation=default::[not_specified] -return_string=default::[not_specified] -rpx=[specified] -verbose=default::[not_specified]
-vid=default::[not_specified] -xpe=default::[not_specified]
usage
airflow=250 (LFM) ambient_temp=25.0 (C) bi-dir_toggle=12.500000 bidir_output_enable=1.000000
board_layers=12to15 (12 to 15 Layers) board_selection=medium (10"x10") bram=0.371729 clocks=0.898732
confidence_level_clock_activity=High confidence_level_design_state=High confidence_level_device_models=High confidence_level_internal_activity=Medium
confidence_level_io_activity=High confidence_level_overall=Medium customer=TBD customer_class=TBD
devstatic=1.292768 die=xczu19eg-ffvb1517-2-i dsp=0.025374 dsp_output_toggle=12.500000
dynamic=9.314940 effective_thetaja=0.82 enable_probability=0.990000 family=zynquplus
ff_toggle=12.500000 flow_state=routed heatsink=medium (Medium Profile) input_toggle=12.500000
junction_temp=33.7 (C) logic=2.295288 mgtavcc_dynamic_current=0.000000 mgtavcc_static_current=0.000000
mgtavcc_total_current=0.000000 mgtavcc_voltage=0.900000 mgtavtt_dynamic_current=0.000000 mgtavtt_static_current=0.000000
mgtavtt_total_current=0.000000 mgtavtt_voltage=1.200000 mgtvccaux_dynamic_current=0.000000 mgtvccaux_static_current=0.000000
mgtvccaux_total_current=0.000000 mgtvccaux_voltage=1.800000 mgtyavcc_dynamic_current=0.000000 mgtyavcc_static_current=0.000000
mgtyavcc_total_current=0.000000 mgtyavcc_voltage=0.900000 mgtyavtt_dynamic_current=0.000000 mgtyavtt_static_current=0.000000
mgtyavtt_total_current=0.000000 mgtyavtt_voltage=1.200000 mgtyvccaux_dynamic_current=0.000000 mgtyvccaux_static_current=0.000000
mgtyvccaux_total_current=0.000000 mgtyvccaux_voltage=1.800000 mmcm=0.098066 netlist_net_matched=NA
off-chip_power=0.000000 on-chip_power=10.607708 output_enable=1.000000 output_load=0.000000
output_toggle=12.500000 package=ffvb1517 pct_clock_constrained=182.880005 pct_inputs_defined=0
platform=lin64 process=typical ps8=2.500584 ram_enable=50.000000
ram_write=50.000000 read_saif=False set/reset_probability=0.000000 signal_rate=False
signals=3.125167 simulation_file=None speedgrade=-2 static_prob=False
temp_grade=industrial thetajb=2.2 (C/W) thetasa=1.2 (C/W) toggle_rate=False
user_board_temp=25.0 (C) user_effective_thetaja=0.82 user_junc_temp=33.7 (C) user_thetajb=2.2 (C/W)
user_thetasa=1.2 (C/W) vcc_psadc_dynamic_current=0.000000 vcc_psadc_static_current=0.001500 vcc_psadc_total_current=0.001500
vcc_psadc_voltage=1.800000 vcc_psaux_dynamic_current=0.000002 vcc_psaux_static_current=0.002200 vcc_psaux_total_current=0.002202
vcc_psaux_voltage=1.800000 vcc_psbatt_dynamic_current=0.000000 vcc_psbatt_static_current=0.000000 vcc_psbatt_total_current=0.000000
vcc_psbatt_voltage=1.200000 vcc_psddr_pll_dynamic_current=0.000000 vcc_psddr_pll_static_current=0.001000 vcc_psddr_pll_total_current=0.001000
vcc_psddr_pll_voltage=1.800000 vcc_psintfp_ddr_dynamic_current=0.725560 vcc_psintfp_ddr_static_current=0.005070 vcc_psintfp_ddr_total_current=0.730630
vcc_psintfp_ddr_voltage=0.850000 vcc_psintfp_dynamic_current=0.972049 vcc_psintfp_static_current=0.040571 vcc_psintfp_total_current=1.012620
vcc_psintfp_voltage=0.850000 vcc_psintlp_dynamic_current=0.266743 vcc_psintlp_static_current=0.008213 vcc_psintlp_total_current=0.274956
vcc_psintlp_voltage=0.850000 vcc_pspll_dynamic_current=0.071264 vcc_pspll_static_current=0.002000 vcc_pspll_total_current=0.073264
vcc_pspll_voltage=1.200000 vccadc_dynamic_current=0.000000 vccadc_static_current=0.008000 vccadc_total_current=0.008000
vccadc_voltage=1.800000 vccaux_dynamic_current=0.054224 vccaux_io_dynamic_current=0.000000 vccaux_io_static_current=0.072852
vccaux_io_total_current=0.072852 vccaux_io_voltage=1.800000 vccaux_static_current=0.331349 vccaux_total_current=0.385574
vccaux_voltage=1.800000 vccbram_dynamic_current=0.003961 vccbram_static_current=0.006123 vccbram_total_current=0.010084
vccbram_voltage=0.850000 vccint_dynamic_current=7.898099 vccint_io_dynamic_current=0.000000 vccint_io_static_current=0.099897
vccint_io_total_current=0.099897 vccint_io_voltage=0.850000 vccint_static_current=0.415982 vccint_total_current=8.314081
vccint_voltage=0.850000 vcco10_dynamic_current=0.000000 vcco10_static_current=0.000000 vcco10_total_current=0.000000
vcco10_voltage=1.000000 vcco12_dynamic_current=0.000000 vcco12_static_current=0.000000 vcco12_total_current=0.000000
vcco12_voltage=1.200000 vcco135_dynamic_current=0.000000 vcco135_static_current=0.000000 vcco135_total_current=0.000000
vcco135_voltage=1.350000 vcco15_dynamic_current=0.000000 vcco15_static_current=0.000000 vcco15_total_current=0.000000
vcco15_voltage=1.500000 vcco18_dynamic_current=0.000000 vcco18_static_current=0.000000 vcco18_total_current=0.000000
vcco18_voltage=1.800000 vcco25_dynamic_current=0.000000 vcco25_static_current=0.000000 vcco25_total_current=0.000000
vcco25_voltage=2.500000 vcco33_dynamic_current=0.000000 vcco33_static_current=0.000000 vcco33_total_current=0.000000
vcco33_voltage=3.300000 vcco_psddr_504_dynamic_current=0.592387 vcco_psddr_504_static_current=0.034000 vcco_psddr_504_total_current=0.626387
vcco_psddr_504_voltage=1.200000 vcco_psio0_500_dynamic_current=0.004418 vcco_psio0_500_static_current=0.000600 vcco_psio0_500_total_current=0.005018
vcco_psio0_500_voltage=3.300000 vcco_psio1_501_dynamic_current=0.005891 vcco_psio1_501_static_current=0.000600 vcco_psio1_501_total_current=0.006491
vcco_psio1_501_voltage=3.300000 vcco_psio2_502_dynamic_current=0.000267 vcco_psio2_502_static_current=0.000600 vcco_psio2_502_total_current=0.000867
vcco_psio2_502_voltage=1.800000 vcco_psio3_503_dynamic_current=0.000000 vcco_psio3_503_static_current=0.000600 vcco_psio3_503_total_current=0.000600
vcco_psio3_503_voltage=3.300000 version=2020.2 vps_mgtravcc_dynamic_current=0.000000 vps_mgtravcc_static_current=0.001000
vps_mgtravcc_total_current=0.001000 vps_mgtravcc_voltage=0.850000 vps_mgtravtt_dynamic_current=0.000000 vps_mgtravtt_static_current=0.001000
vps_mgtravtt_total_current=0.001000 vps_mgtravtt_voltage=1.800000

report_utilization
io_standard
analog=0 analog_se=0 diff_hstl_i=0 diff_hstl_i_12=0
diff_hstl_i_18=0 diff_hstl_i_dci=0 diff_hstl_i_dci_12=0 diff_hstl_i_dci_18=0
diff_hsul_12=0 diff_hsul_12_dci=0 diff_pod10=0 diff_pod10_dci=0
diff_pod12=0 diff_pod12_dci=0 diff_sstl12=0 diff_sstl12_dci=0
diff_sstl135=0 diff_sstl135_dci=0 diff_sstl135_ii=0 diff_sstl15=0
diff_sstl15_dci=0 diff_sstl15_ii=0 diff_sstl18_i=0 diff_sstl18_i_dci=0
diff_sstl18_ii=0 hslvdci_15=0 hslvdci_18=0 hstl_i=0
hstl_i_12=0 hstl_i_18=0 hstl_i_dci=0 hstl_i_dci_12=0
hstl_i_dci_18=0 hsul_12=0 hsul_12_dci=0 lvcmos12=0
lvcmos15=0 lvcmos18=0 lvcmos25=0 lvcmos33=0
lvdci_15=0 lvdci_18=0 lvds=0 lvds_25=0
lvpecl=0 lvttl=0 mipi_dphy_dci=0 pod10=0
pod10_dci=0 pod12=0 pod12_dci=0 slvs_400_18=0
slvs_400_25=0 sstl12=0 sstl12_dci=0 sstl135=0
sstl135_dci=0 sstl135_ii=0 sstl15=0 sstl15_dci=0
sstl15_ii=0 sstl18_i=0 sstl18_i_dci=0 sstl18_ii=0
sub_lvds=0
primitives
bufg_ps_functional_category=Clock bufg_ps_used=1 bufgce_functional_category=Clock bufgce_used=3
carry8_functional_category=CLB carry8_used=4170 dsp48e2_functional_category=Arithmetic dsp48e2_used=64
fdce_functional_category=Register fdce_used=126147 fdpe_functional_category=Register fdpe_used=4336
fdre_functional_category=Register fdre_used=11758 fdse_functional_category=Register fdse_used=260
lut1_functional_category=CLB lut1_used=494 lut2_functional_category=CLB lut2_used=30291
lut3_functional_category=CLB lut3_used=45299 lut4_functional_category=CLB lut4_used=96956
lut5_functional_category=CLB lut5_used=74067 lut6_functional_category=CLB lut6_used=188689
mmcme4_adv_functional_category=Clock mmcme4_adv_used=1 muxf7_functional_category=CLB muxf7_used=2262
muxf8_functional_category=CLB muxf8_used=680 ps8_functional_category=Advanced ps8_used=1
ramb36e2_functional_category=BLOCKRAM ramb36e2_used=544 ramd32_functional_category=CLB ramd32_used=2412
rams32_functional_category=CLB rams32_used=344 srl16e_functional_category=CLB srl16e_used=250
srlc32e_functional_category=CLB srlc32e_used=548

synthesis
command_line_options
-assert=default::[not_specified] -bufg=default::12 -cascade_dsp=default::auto -constrset=default::[not_specified]
-control_set_opt_threshold=default::auto -debug_log=default::[not_specified] -directive=PerformanceOptimized -fanout_limit=default::10000
-flatten_hierarchy=default::rebuilt -fsm_extraction=one_hot -gated_clock_conversion=default::off -generic=default::[not_specified]
-include_dirs=default::[not_specified] -keep_equivalent_registers=[specified] -lint=default::[not_specified] -max_bram=default::-1
-max_bram_cascade_height=default::-1 -max_dsp=default::-1 -max_uram=default::-1 -max_uram_cascade_height=default::-1
-mode=default::default -name=default::[not_specified] -no_lc=[specified] -no_srlextract=default::[not_specified]
-no_timing_driven=default::[not_specified] -os=default::[not_specified] -part=xczu19eg-ffvb1517-2-i -resource_sharing=off
-retiming=default::[not_specified] -rtl=default::[not_specified] -rtl_skip_constraints=default::[not_specified] -rtl_skip_ip=default::[not_specified]
-seu_protect=default::none -sfcu=default::[not_specified] -shreg_min_size=5 -top=design_1_wrapper
-verilog_define=default::[not_specified]
usage
elapsed=00:05:28s hls_ip=0 memory_gain=4932.020MB memory_peak=9453.211MB